Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Resists")

Filter

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Origin

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 435548

  • Page / 17422
Export

Selection :

  • and

Decomposition Analysis of Molecular Resists to further CD controlSHIONO, Daiju; HADA, Hideo; HIRAYAMA, Taku et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 727330.1-727330.8, 2Conference Paper

Assembly Pathway Analysis of DNA Nanostructures and the Construction of Parallel MotifsTHILAK KUMARA, Mudalige; NYKYPANCHUK, Dmytro; SHERMAN, William B et al.Nano letters (Print). 2008, Vol 8, Num 7, pp 1971-1977, issn 1530-6984, 7 p.Article

CD Uniformity improvement for Double-Patterning Lithography (Litho-Litho-Etch) Using Freezing ProcessSUGIMACHI, Hisanori; KOSUGI, Hitoshi; YAMAGUCHI, Yoshikazu et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 72731D.1-72731D.7, 2Conference Paper

Resolution Limits of Electron-Beam Lithography toward the Atomic ScaleMANFRINATO, Vitor R; LIHUA ZHANG; DONG SU et al.Nano letters (Print). 2013, Vol 13, Num 4, pp 1555-1558, issn 1530-6984, 4 p.Article

Lithography Development and Research Challenges for the ≤ 22 nm Half-pitchWURM, Stefan.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 747002.1-747002.11Conference Paper

Reduction of resist charging effect by EB reticle writer EBM-7000SAITO, Masato; UGAJIN, Kunihiro; IKENAGA, Osamu et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7379, issn 0277-786X, isbn 978-0-8194-7656-2 0-8194-7656-0, 1Vol, 73791A.1-73791A.8Conference Paper

An assessment of the process capabilities of nanoimprint lithographyBALLA, Tobias; SPEARING, S. Mark; MONK, Andrew et al.Journal of physics. D, Applied physics (Print). 2008, Vol 41, Num 17, issn 0022-3727, 174001.1-174001.10Conference Paper

Supercritical techniques for nanostructure fabricationNAMATSU, Hideo.Materials chemistry in supercritical fluids. 2005, pp 99-121, isbn 81-308-0081-0, 1Vol, 23 p.Book Chapter

Proton beam writing of three-dimensional nanostructures in hydrogen silsesquioxaneVAN KAN, Jeroen A; BETTIOL, Andrew A; WATT, Frank et al.Nano letters (Print). 2006, Vol 6, Num 3, pp 579-582, issn 1530-6984, 4 p.Article

Development of EUV resists based on various new materialsOIZUMI, Hiroaki; MATSUMARO, Kazuyuki; SANTILLAN, Julius et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7639, issn 0277-786X, isbn 978-0-8194-8053-8 0-8194-8053-3, 76390R.1-76390R.8, 2Conference Paper

Post-Develop Blob Defect ReductionHARUMOTO, Masahiko; NEGORO, Sei; HISAI, Akihiro et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 72730P.1-72730P.12, 2Conference Paper

Pigment-dispersed resists for color filtersFU, J; LI, Y; GUO, J et al.SPIE proceedings series. 1998, pp 116-121, isbn 0-8194-3021-8Conference Paper

Fabrication of holographic binary phase fresnel lens arrays using inorganic photoresistROMANENKO, P. F; STRONSKI, A. V; INDUTNYI, I. Z et al.SPIE proceedings series. 1998, pp 125-129, isbn 0-8194-2795-0Conference Paper

Materials for lithography in the nanoscaleARGITIS, Panagiotis; NIAKOULA, Dimitra; DOUVAS, Antonios M et al.International journal of nanotechnology. 2009, Vol 6, Num 1-2, pp 71-87, issn 1475-7435, 17 p.Article

Theoretical analysis of energy degradation of electron in the resistsTORIUMI, Minoru.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 72732X.1-72732X.6, 2Conference Paper

Polyvalent DNA Nanoparticle Conjugates Stabilize Nucleic AcidsSEFEROS, Dwight S; PRIGODICH, Andrew E; GILJOHANN, David A et al.Nano letters (Print). 2009, Vol 9, Num 1, pp 308-311, issn 1530-6984, 4 p.Article

Micromachined rotating gyroscope with electromagnetically levitated rotorWU, X.-S; CHEN, W.-Y; ZHAO, X.-L et al.Electronics Letters. 2006, Vol 42, Num 16, pp 912-913, issn 0013-5194, 2 p.Article

Photo- and electroactive amorphous molecular materials-molecular design, syntheses, reactions, properties, and applicationsSHIROTA, Yasuhiko.Journal of material chemistry. 2005, Vol 15, Num 1, pp 75-93, issn 0959-9428, 19 p.Article

EUV Lithography for 30nm Half Pitch and Beyond: Exploring Resolution, Sensitivity and LWR TradeoffsPUTNA, E. Steve; YOUNKIN, Todd R; CHANDHOK, Manish et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 72731L.1-72731L.9, 2Conference Paper

EUV Resist Outgassing - Scaling to HVM IntensityANTOHE, Alin O; MBANASO, Chimaobi; FAN, Yu-Jen et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7271, issn 0277-786X, isbn 978-0-8194-7524-4 0-8194-7524-6, 727126.1-727126.7, 2Conference Paper

Study of the simulation parameter for EUVLSEKIGUCHI, Atushi.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 72731G.1-72731G.11, 2Conference Paper

Harnessing entropic and enthalpic contributions to create a negative tone chemically amplified molecular resist for high-resolution lithographyKULSHRESHTHA, Prashant K; MARUYAMA, Ken; KIANI, Sara et al.Nanotechnology (Bristol. Print). 2014, Vol 25, Num 31, issn 0957-4484, 315301.1-315301.9Article

Intrinsic Response of Graphene Vapor SensorsYAPING DAN; YE LU; KYBEN, Nicholas J et al.Nano letters (Print). 2009, Vol 9, Num 4, pp 1472-1475, issn 1530-6984, 4 p.Article

A new method for post-etch OPC modeling to compensate for underlayer effects from integrated wafersSARMA, Chandra; ABDO, Amr; DUNN, Derren et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72740Z.1-72740Z.9, 2Conference Paper

Optimal Setting Strategy for Kernel-Based OPC Simulation EnginesKODERA, Katsuyoshi; TANAKA, Satoshi; KOTANI, Toshiya et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 727410.1-727410.9, 2Conference Paper

  • Page / 17422